Saturday 4 July 2015

Arithmetic circuits- Full adder test bench


FULL ADDER TEST BENCH

FULL ADDER
module faa(carry,sum,a,b,c);
output carry,sum;
input a,b,c;
assign sum=a^b^c;
assign carry=(a&b)|(b&c)|(c&a);
endmodule

TEST BENCH
module ftest;
reg a;
reg b;
reg c;
wire carry;
wire sum;
faa f1(carry,sum,a,b,c);
initial begin
a=1'b0;b=1'b0;c=1'b0;
#75 a=1'b0;b=1'b0;c=1'b1;
#75 a=1'b0;b=1'b1;c=1'b0;
#75 a=1'b0;b=1'b1;c=1'b1;
#75 a=1'b1;b=1'b0;c=1'b0;
#75 a=1'b1;b=1'b0;c=1'b1;
#75 a=1'b1;b=1'b1;c=1'b0;
#75 a=1'b1;b=1'b1;c=1'b1;
end
initial
$display($time,"carry=%b,sum=%b,a=%bb=%b,c=%b",carry,sum,a,b,c);

endmodule

No comments:

Post a Comment