Saturday 4 July 2015

Combinational circuits-Test bench

DECODER TEST BENCH PROGRAM

DECODER 3 OUT OF 8
module decode3to8(out,in);
output [7:0]out;
input [2:0]in;
reg [7:0]out;
always @(in)
begin
case(in)
3'b000:out=8'b10000000;
3'b001:out=8'b01000000;
3'b010:out=8'b00100000;
3'b011:out=8'b00010000;
3'b100:out=8'b00001000;
3'b101:out=8'b00000100;
3'b110:out=8'b00000010;
3'b111:out=8'b00000001;
endcase
end

endmodule

TEST BENCH
module decodetest;
reg [2:0]in;
wire [7:0]out;
decode3to8 d1(out,in);
initial
begin
in=3'b000;
#75 in=3'b001;
#75 in=3'b010;
#75 in=3'b011;
#75 in=3'b100;
#75 in=3'b101;
#75 in=3'b110;
#75 in=3'b111;
end
endmodule

No comments:

Post a Comment