Saturday 4 July 2015

Combinational.circuits- Demux1of4


DEMUX 1 OF 4

module demux1of4(a,b,c,d,data,s1,s0);
output a,b,c,d;
input data;
input s1,s0;
assign a=(data&~s1&~s0);
assign b=(data&~s1&s0);
assign c=(data&s1&~s0);
assign d=(data&s1&s0);
endmodule

No comments:

Post a Comment